On-Chip Cryptography

Grid View:

Test-Friendly Data-Selectable Self-Gating (DSSG)

₹4,000.00

Abstract◦        Clock networks consume large amounts of dynamic power,Clock gating is a common method for dynamic power reduction, and XOR self-gating is one of the useful clock gating methods for reducing meaningless clock toggling to provide extreme power reduction.◦       ..

Showing 1 to 1 of 1 (1 Pages)